Other

[ DevCourseWeb com ] Udemy - Learn Vivado from Top to Bottom - Your Complete Guide

  • Download Faster
  • Download torrent
  • Direct Download
  • Rate this torrent +  |  -
[ DevCourseWeb com ] Udemy - Learn Vivado from Top to Bottom - Your Complete Guide

Download Anonymously! Get Protected Today And Get your 70% discount


Torrent info

Name:[ DevCourseWeb com ] Udemy - Learn Vivado from Top to Bottom - Your Complete Guide

Infohash: 83B579CF9F673E12F87245955A43C4A717394CF8

Total Size: 937.96 MB

Seeds: 2

Leechers: 1

Stream: Watch Full Movie @ Movie4u

Last Updated: 2022-01-15 23:18:43 (Update Now)

Torrent added: 2022-01-03 21:07:19






Torrent Files List


Get Bonus Downloads Here.url (Size: 937.96 MB) (Files: 698)

 Get Bonus Downloads Here.url

0.18 KB

 ~Get Your Files Here !

  01 - Introduction

   001 Welcome to the Course.mp4

25.11 MB

   001 Welcome to the Course_en.srt

2.93 KB

   002 Introduction to the Vivado Tool Suite.mp4

21.67 MB

   002 Introduction to the Vivado Tool Suite_en.srt

2.30 KB

   003 Vivado Download and Installation.mp4

22.08 MB

   003 Vivado Download and Installation_en.srt

8.67 KB

   004 Supported FPGAs and Development Boards.html

5.14 KB

   external-assets-links.txt

0.19 KB

  02 - Vivado Basics

   001 Opening Vivado.mp4

6.80 MB

   001 Opening Vivado_en.srt

3.86 KB

   002 Creating a New Project in Vivado.mp4

7.43 MB

   002 Creating a New Project in Vivado_en.srt

2.71 KB

   003 Importing a Xilinx ISE Project Into Vivado.mp4

12.06 MB

   003 Importing a Xilinx ISE Project Into Vivado_en.srt

4.72 KB

   004 Create a Project From a Predefined Template.mp4

8.24 MB

   004 Create a Project From a Predefined Template_en.srt

2.77 KB

   005 Vivado Example Project.mp4

13.68 MB

   005 Vivado Example Project_en.srt

6.09 KB

   006 Add Existing Files to a Project.mp4

9.65 MB

   006 Add Existing Files to a Project_en.srt

3.75 KB

   007 Creating New Files.mp4

12.76 MB

   007 Creating New Files_en.srt

5.27 KB

   008 Working with Block Designs in Vivado.mp4

18.88 MB

   008 Working with Block Designs in Vivado_en.srt

7.53 KB

   009 Generating the FPGA Configuration File.mp4

11.69 MB

   009 Generating the FPGA Configuration File_en.srt

4.83 KB

   010 Programming Your Development Board.mp4

7.16 MB

   010 Programming Your Development Board_en.srt

2.83 KB

   011 Documentation Navigator.mp4

6.50 MB

   011 Documentation Navigator_en.srt

2.33 KB

   7_seg_project

    7_seg.xdc

13.17 KB

    Hex_to_7_Seg.vhd

1.11 KB

    Hex_to_7_Seg_top.vhd

2.50 KB

   Full_Adder_2

    Full_Adder_2.bld

1.05 KB

    Full_Adder_2.cmd_log

1.04 KB

    Full_Adder_2.gise

17.94 KB

    Full_Adder_2.ibs

60.10 KB

    Full_Adder_2.lso

0.01 KB

    Full_Adder_2.ncd

2.92 KB

    Full_Adder_2.ngc

1.30 KB

    Full_Adder_2.ngd

2.48 KB

    Full_Adder_2.ngr

1.16 KB

    Full_Adder_2.pad

5.93 KB

    Full_Adder_2.par

5.29 KB

    Full_Adder_2.pcf

0.44 KB

    Full_Adder_2.prj

0.03 KB

    Full_Adder_2.ptwx

16.08 KB

    Full_Adder_2.stx

0.00 KB

    Full_Adder_2.syr

10.16 KB

    Full_Adder_2.twr

2.55 KB

    Full_Adder_2.twx

18.96 KB

    Full_Adder_2.ucf

0.15 KB

    Full_Adder_2.unroutes

0.15 KB

    Full_Adder_2.ut

0.38 KB

    Full_Adder_2.vhd

0.95 KB

    Full_Adder_2.xdl

1.91 KB

    Full_Adder_2.xise

32.39 KB

    Full_Adder_2.xpi

0.04 KB

    Full_Adder_2.xst

1.13 KB

    Full_Adder_2_bitgen.xwbt

0.30 KB

    Full_Adder_2_envsettings.html

15.66 KB

    Full_Adder_2_fpga_editor.log

0.63 KB

    Full_Adder_2_guide.ncd

2.92 KB

    Full_Adder_2_isim_beh.exe

117.50 KB

    Full_Adder_2_isim_beh.wdb

3.65 KB

    Full_Adder_2_map.map

2.65 KB

    Full_Adder_2_map.mrp

5.77 KB

    Full_Adder_2_map.ncd

2.25 KB

    Full_Adder_2_map.ngm

4.53 KB

    Full_Adder_2_map.xrpt

12.27 KB

    Full_Adder_2_ngdbuild.xrpt

5.44 KB

    Full_Adder_2_pad.csv

5.96 KB

    Full_Adder_2_pad.txt

26.43 KB

    Full_Adder_2_par.xrpt

59.60 KB

    Full_Adder_2_stx_beh.prj

0.03 KB

    Full_Adder_2_summary.html

8.60 KB

    Full_Adder_2_summary.xml

0.40 KB

    Full_Adder_2_usage.xml

5.82 KB

    Full_Adder_2_vhdl.prj

0.08 KB

    Full_Adder_2_xst.xrpt

11.09 KB

    _ngo

     netlist.lst

0.08 KB

    _xmsgs

     bitgen.xmsgs

0.36 KB

     ibiswriter.xmsgs

0.58 KB

     map.xmsgs

0.72 KB

     ngdbuild.xmsgs

0.36 KB

     par.xmsgs

1.00 KB

     pn_parser.xmsgs

0.76 KB

     trce.xmsgs

1.56 KB

     xdl.xmsgs

0.50 KB

     xst.xmsgs

0.61 KB

    compxlib.log

0.00 KB

    full_adder_2.bgn

4.76 KB

    full_adder_2.bit

71.06 KB

    full_adder_2.drc

0.19 KB

    iseconfig

     Full_Adder_2.projectmgr

8.65 KB

     Full_Adder_2.xreport

20.64 KB

    isim.cmd

0.04 KB

    pa.fromHdl.tcl

0.63 KB

    pa.fromNcd.tcl

1.00 KB

    pepExtractor.prj

0.02 KB

    planAhead.ngc2edif.log

0.40 KB

    planAhead_run_1

     Full_Adder_2.data

      constrs_1

       fileset.xml

0.35 KB

      runs

       impl_1.psg

0.38 KB

       runs.xml

0.19 KB

      sources_1

       fileset.xml

0.53 KB

      wt

       webtalk_pa.xml

1.38 KB

     Full_Adder_2.ppr

0.27 KB

     planAhead.jou

1.48 KB

     planAhead.log

4.73 KB

     planAhead_run.log

1.03 KB

    planAhead_run_4

     Full_Adder_2.data

      constrs_1

       designprops.xml

0.36 KB

       fileset.xml

0.55 KB

       usercols.xml

0.08 KB

      sources_1

       chipscope.xml

0.11 KB

       fileset.xml

0.58 KB

       ports.xml

0.25 KB

      wt

       webtalk_pa.xml

1.41 KB

     Full_Adder_2.ppr

0.23 KB

     planAhead.jou

1.74 KB

     planAhead.log

6.03 KB

     planAhead_run.log

0.71 KB

    test_Full_Adder_2.vhd

2.73 KB

    test_Full_Adder_2_beh.prj

0.06 KB

    test_Full_Adder_2_stx_beh.prj

0.07 KB

    usage_statistics_webtalk.html

35.32 KB

    webtalk.log

0.68 KB

    webtalk_pn.xml

3.13 KB

    xilinxsim.ini

0.02 KB

    xlnx_auto_0_xdb

     cst.xbcd

0.67 KB

    xst

     work

      hdllib.ref

0.23 KB

      hdpdeps.ref

0.41 KB

      sub00

       vhpl00.vho

0.77 KB

       vhpl01.vho

2.83 KB

  03 - Pin Planning Tool

   001 IO Pin Planning Tool Introduction.mp4

21.02 MB

   001 IO Pin Planning Tool Introduction_en.srt

2.56 KB

   002 Create an IO Pin Planning Project.mp4

7.22 MB

   002 Create an IO Pin Planning Project_en.srt

2.54 KB

   003 Create and Place IO Ports.mp4

16.03 MB

   003 Create and Place IO Ports_en.srt

5.49 KB

   004 Perform a Design Rules Check.mp4

6.27 MB

   004 Perform a Design Rules Check_en.srt

1.67 KB

   005 Report Simultaneous Switching Noise SSN.mp4

10.35 MB

   005 Report Simultaneous Switching Noise SSN_en.srt

4.46 KB

   006 Generate Contraints File and Top Level HDL File.mp4

14.66 MB

   006 Generate Contraints File and Top Level HDL File_en.srt

5.44 KB

   external-assets-links.txt

0.14 KB

  04 - Intellectual Property (IP) Cores

   001 Introduction to IP Cores.html

9.28 KB

   002 Using IP Cores.mp4

9.00 MB

   002 Using IP Cores_en.srt

3.90 KB

   003 Create IP Cores from a Specific Directory.mp4

20.18 MB

   003 Create IP Cores from a Specific Directory_en.srt

9.35 KB

   004 Create IP Cores from a Block Design.mp4

21.58 MB

   004 Create IP Cores from a Block Design_en.srt

7.65 KB

   005 AXI Interface Explained.html

15.28 KB

   006 Create an AXI IP Core Peripheral Step 1.mp4

9.05 MB

   006 Create an AXI IP Core Peripheral Step 1_en.srt

3.01 KB

   007 Creating an AXI IP Core Peripheral - Step 2.html

24.60 KB

   008 Create an AXI IP Core Peripheral Step 3.mp4

20.98 MB

   008 Create an AXI IP Core Peripheral Step 3_en.srt

8.13 KB

   009 Customizing IP Cores.mp4

10.04 MB

   009 Customizing IP Cores_en.srt

2.89 KB

   010 Creating a Custom IP Core Repository.html

1.11 KB

   011 IP Core Repository Directory Structure.html

1.11 KB

   012 Adding IP Cores to Your Repository.mp4

13.02 MB

   012 Adding IP Cores to Your Repository_en.srt

4.34 KB

   013 Adding a Custom IP Core Repository to a Vivado Project.mp4

6.23 MB

   013 Adding a Custom IP Core Repository to a Vivado Project_en.srt

2.79 KB

   014 Managing a Custom IP Core Repository.mp4

14.78 MB

   014 Managing a Custom IP Core Repository_en.srt

5.45 KB

   BASYS_7_seg

    BASYS_7_seg.vhd

3.48 KB

    BASYS_7_seg_documentation.pdf

560.18 KB

   BASYS_7_seg_AXI_1.0

    bd

     bd.tcl

7.65 KB

    component.xml

39.63 KB

    drivers

     BASYS_7_seg_AXI_v1_0

      data

       BASYS_7_seg_AXI.mdd

0.21 KB

       BASYS_7_seg_AXI.tcl

0.17 KB

      src

       BASYS_7_seg_AXI.c

0.19 KB

       BASYS_7_seg_AXI.h

2.59 KB

       BASYS_7_seg_AXI_selftest.c

1.99 KB

       Makefile

0.47 KB

    example_designs

     bfm_design

      BASYS_7_seg_AXI_v1_0_tb.v

6.84 KB

      design.tcl

3.84 KB

     debug_hw_design

      BASYS_7_seg_AXI_v1_0_hw_test.tcl

1.38 KB

      design.tcl

8.34 KB

    hdl

     BASYS_7_seg_AXI_v1_0.vhd

4.29 KB

     BASYS_7_seg_AXI_v1_0_S00_AXI.vhd

17.00 KB

    src

     BASYS_7_seg.vhd

3.48 KB

    xgui

     BASYS_7_seg_AXI_v1_0.tcl

4.04 KB

   Custom_IP_Cores

    BASYS_7_seg

     BASYS_7_seg.vhd

3.48 KB

     component.xml

14.15 KB

     doc

      BASYS_7_seg_documentation.pdf

560.18 KB

     src

      basys_image.PNG

9.92 KB

     xgui

      BASYS_7_seg_v1_0.tcl

1.59 KB

    BASYS_7_seg_AXI_1.0

     bd

      bd.tcl

7.65 KB

     component.xml

39.63 KB

     drivers

      BASYS_7_seg_AXI_v1_0

       data

        BASYS_7_seg_AXI.mdd

0.21 KB

        BASYS_7_seg_AXI.tcl

0.17 KB

       src

        BASYS_7_seg_AXI.c

0.19 KB

        BASYS_7_seg_AXI.h

2.59 KB

        BASYS_7_seg_AXI_selftest.c

1.99 KB

        Makefile

0.47 KB

     example_designs

      bfm_design

       BASYS_7_seg_AXI_v1_0_tb.v

6.84 KB

       design.tcl

3.84 KB

      debug_hw_design

       BASYS_7_seg_AXI_v1_0_hw_test.tcl

1.38 KB

       design.tcl

8.34 KB

     hdl

      BASYS_7_seg_AXI_v1_0.vhd

4.29 KB

      BASYS_7_seg_AXI_v1_0_S00_AXI.vhd

17.00 KB

     src

      BASYS_7_seg.vhd

3.48 KB

     xgui

      BASYS_7_seg_AXI_v1_0.tcl

4.04 KB

    design_1

     component.xml

11.79 KB

     src

      design_1.hwdef

4.27 KB

      design_1.vhd

2.17 KB

      design_1_c_counter_binary_0_0

       design_1_c_counter_binary_0_0.xci

10.35 KB

      design_1_c_counter_binary_1_0

       design_1_c_counter_binary_1_0.xci

10.35 KB

      design_1_ooc.xdc

0.59 KB

     xgui

      design_1_v1_0.tcl

0.20 KB

   external-assets-links.txt

0.32 KB

  05 - IP Core Design Examples

   001 Configure Internal FPGA Block RAM (BRAM).mp4

13.77 MB

   001 Configure Internal FPGA Block RAM (BRAM)_en.srt

5.39 KB

   002 Xilinx Memory Interface Generator (MIG) IP Core.mp4

21.86 MB

   002 Xilinx Memory Interface Generator (MIG) IP Core_en.srt

8.56 KB

   003 Connecting Multiple AXI Peripherals to a Single Master.mp4

9.87 MB

   003 Connecting Multiple AXI Peripherals to a Single Master_en.srt

3.05 KB

   004 Using Vivado's Connection Automation and Regerating Block Design Layouts.mp4

12.45 MB

   004 Using Vivado's Connection Automation and Regerating Block Design Layouts_en.srt

4.27 KB

   BRAM_Init_File

    memory_init.coe

1.06 KB

   external-assets-links.txt

0.09 KB

  06 - Working with Design Constraints

   001 What are Design Constraints.html

2.04 KB

   002 Applying IO Constraints.mp4

10.09 MB

   002 Applying IO Constraints_en.srt

3.57 KB

   003 Creating Clock Constraints.mp4

11.32 MB

   003 Creating Clock Constraints_en.srt

4.02 KB

   external-assets-links.txt

0.31 KB

  07 - Automating Vivado

   001 TCL Script Introduction.mp4

31.08 MB

   001 TCL Script Introduction_en.srt

4.12 KB

   002 Build a Vivado Project Using TCL Scripts.mp4

10.47 MB

   002 Build a Vivado Project Using TCL Scripts_en.srt

4.12 KB

   003 Populate a Block Design Using TCL Scripts.mp4

4.89 MB

   003 Populate a Block Design Using TCL Scripts_en.srt

1.46 KB

   004 Using TCL Scripts in Your Custom IP Core.mp4

10.76 MB

   004 Using TCL Scripts in Your Custom IP Core_en.srt

3.99 KB

   005 How to Create Your Own Custom TCL Scripts.mp4

11.18 MB

   005 How to Create Your Own Custom TCL Scripts_en.srt

4.68 KB

   GPIO

    proj

     _READ_ME_.txt

0.65 KB

     cleanup.cmd

0.44 KB

     cleanup.sh

0.52 KB

     create_project.tcl

3.70 KB

    src

     constraints

      Basys3_Master.xdc

16.06 KB

     hdl

      GPIO_Demo.vhd

16.02 KB

      MouseCtl.vhd

47.67 KB

      MouseDisplay.vhd

11.61 KB

      Ps2Interface.vhd

31.34 KB

      UART_TX_CTRL.vhd

4.48 KB

      clk_wiz_0.vhd

4.24 KB

      clk_wiz_0_clk_wiz.vhd

7.06 KB

      debouncer.vhd

3.33 KB

      vga_ctrl.vhd

14.06 KB

   design_1_tcl

    design_1.tcl

6.58 KB

   external-assets-links.txt

0.17 KB

  08 - Hardware Design Debugging and Verification

   001 Creating Simulation Files (Test Benches).html

6.76 KB

   002 Simulating Your Designs in Vivado.mp4

13.25 MB

   002 Simulating Your Designs in Vivado_en.srt

4.71 KB

   003 Modifying the Simulation Waveform.mp4

19.91 MB

   003 Modifying the Simulation Waveform_en.srt

9.09 KB

   004 Forcing Signal Values for Simulation.mp4

11.10 MB

   004 Forcing Signal Values for Simulation_en.srt

4.74 KB

   005 Vivado Debugging Tools Introduction.mp4

30.60 MB

   005 Vivado Debugging Tools Introduction_en.srt

3.62 KB

   006 How to Use the Integrated Logic Analyzer (ILA) Core for Debugging.mp4

26.98 MB

   006 How to Use the Integrated Logic Analyzer (ILA) Core for Debugging_en.srt

11.29 KB

   007 How to Use the Virtual IO (VIO) Core for Debugging.mp4

23.40 MB

   007 How to Use the Virtual IO (VIO) Core for Debugging_en.srt

9.61 KB

   Simulation_Example

    Simulation_Example.cache

     ip

      46a4281943398b66

       46a4281943398b66.xci

6.07 KB

       dbg_hub_CV.dcp

221.19 KB

     wt

      java_command_handlers.wdf

0.41 KB

      project.wpc

0.12 KB

      synthesis.wdf

3.67 KB

      synthesis_details.wdf

0.10 KB

      webtalk_pa.xml

1.51 KB

      xsim.wdf

0.25 KB

    Simulation_Example.hw

     Simulation_Example.lpr

0.33 KB

     hw_1

      hw.xml

8.00 KB

      layout

       hw_ila_1.layout

241.54 KB

      wave

       hw_ila_data_1

        hw_ila_data_1.wcfg

1.40 KB

        hw_ila_data_1.wdb

16.04 KB

     webtalk

      labtool_webtalk.log

0.38 KB

      usage_statistics_ext_labtool.html

10.29 KB

      usage_statistics_ext_labtool.xml

10.04 KB

      xsim_webtallk.info

0.06 KB

    Simulation_Example.ip_user_files

     README.txt

0.13 KB

     bd

      design_1

       hdl

        design_1.vhd

6.80 KB

       ip

        design_1_BASYS_7_seg_0_0

         sim

          design_1_BASYS_7_seg_0_0.vhd

3.94 KB

        design_1_Hex_to_7_Seg_0_0

         sim

          design_1_Hex_to_7_Seg_0_0.vhd

3.02 KB

        design_1_Hex_to_7_Seg_1_0

         sim

          design_1_Hex_to_7_Seg_1_0.vhd

3.02 KB

        design_1_Hex_to_7_Seg_2_0

         sim

          design_1_Hex_to_7_Seg_2_0.vhd

3.02 KB

        design_1_Hex_to_7_Seg_3_0

         sim

          design_1_Hex_to_7_Seg_3_0.vhd

3.02 KB

        design_1_c_counter_binary_0_0

         sim

          design_1_c_counter_binary_0_0.vhd

5.05 KB

        design_1_xlslice_0_0

         sim

          design_1_xlslice_0_0.vhd

3.16 KB

        design_1_xlslice_1_0

         sim

          design_1_xlslice_1_0.vhd

3.16 KB

        design_1_xlslice_2_0

         sim

          design_1_xlslice_2_0.vhd

3.16 KB

        design_1_xlslice_3_0

         sim

          design_1_xlslice_3_0.vhd

3.16 KB

       ipshared

        xilinx.com

         basys_7_seg_v1_0

          BASYS_7_seg.vhd

3.48 KB

         hex_to_7_seg_v1_0

          Hex_to_7_Seg.vhd

1.10 KB

         xlslice_v1_0

          xlslice.vhd

1.20 KB

     ipstatic

      c_addsub_v12_0

       hdl

        c_addsub_v12_0.vhd

12.29 KB

        c_addsub_v12_0_vh_rfs.vhd

383.70 KB

      c_counter_binary_v12_0

       hdl

        c_counter_binary_v12_0.vhd

10.60 KB

        c_counter_binary_v12_0_vh_rfs.vhd

125.74 KB

      c_gate_bit_v12_0

       hdl

        c_gate_bit_v12_0.vhd

10.72 KB

        c_gate_bit_v12_0_vh_rfs.vhd

155.70 KB

      c_reg_fd_v12_0

       hdl

        c_reg_fd_v12_0.vhd

8.91 KB

        c_reg_fd_v12_0_vh_rfs.vhd

31.94 KB

      xbip_addsub_v3_0

       hdl

        xbip_addsub_v3_0.vhd

9.36 KB

        xbip_addsub_v3_0_vh_rfs.vhd

26.58 KB

      xbip_counter_v3_0

       hdl

        xbip_counter_v3_0.vhd

9.56 KB

        xbip_counter_v3_0_vh_rfs.vhd

127.52 KB

      xbip_dsp48_addsub_v3_0

       hdl

        xbip_dsp48_addsub_v3_0.vhd

10.56 KB

        xbip_dsp48_addsub_v3_0_vh_rfs.vhd

84.71 KB

      xbip_dsp48_wrapper_v3_0

       hdl

        xbip_dsp48_wrapper_v3_0_vh_rfs.vhd

139.27 KB

      xbip_pipe_v3_0

       hdl

        xbip_pipe_v3_0.vhd

8.13 KB

        xbip_pipe_v3_0_vh_rfs.vhd

24.07 KB

      xbip_utils_v3_0

       hdl

        xbip_utils_v3_0_vh_rfs.vhd

154.09 KB

    Simulation_Example.runs

     impl_1

      ISEWrap.js

7.14 KB

      ISEWrap.sh

1.58 KB

      Vivado_Implementation.queue.rst

0.00 KB

      debug_nets.ltx

2.62 KB

      design_1_wrapper.bit

2.09 MB

      design_1_wrapper.hwdef

25.06 KB

      design_1_wrapper.sysdef

75.46 KB

      design_1_wrapper.tcl

1.90 KB

      design_1_wrapper.vdi

26.57 KB

      design_1_wrapper_10904.backup.vdi

22.14 KB

      design_1_wrapper_11256.backup.vdi

22.09 KB

      design_1_wrapper_20632.backup.vdi

21.20 KB

      design_1_wrapper_clock_utilization_routed.rpt

9.20 KB

      design_1_wrapper_control_sets_placed.rpt

53.91 KB

      design_1_wrapper_drc_opted.rpt

1.60 KB

      design_1_wrapper_drc_routed.pb

0.04 KB

      design_1_wrapper_drc_routed.rpt

4.06 KB

      design_1_wrapper_io_placed.rpt

60.07 KB

      design_1_wrapper_opt.dcp

918.46 KB

      design_1_wrapper_placed.dcp

1.13 MB

      design_1_wrapper_power_routed.rpt

30.65 KB

      design_1_wrapper_power_summary_routed.pb

0.66 KB

      design_1_wrapper_route_status.pb

0.04 KB

      design_1_wrapper_route_status.rpt

0.64 KB

      design_1_wrapper_routed.dcp

1.29 MB

      design_1_wrapper_timing_summary_routed.rpt

352.20 KB

      design_1_wrapper_timing_summary_routed.rpx

731.43 KB

      design_1_wrapper_utilization_placed.pb

0.24 KB

      design_1_wrapper_utilization_placed.rpt

10.48 KB

      gen_run.xml

6.18 KB

      htr.txt

0.39 KB

      init_design.begin.rst

0.17 KB

      init_design.end.rst

0.00 KB

      init_design.pb

2.20 KB

      opt_design.begin.rst

0.17 KB

      opt_design.end.rst

0.00 KB

      opt_design.pb

8.10 KB

      place_design.begin.rst

0.17 KB

      place_design.end.rst

0.00 KB

      place_design.pb

21.93 KB

      project.wdf

3.83 KB

      route_design.begin.rst

0.17 KB

      route_design.end.rst

0.00 KB

      route_design.pb

10.41 KB

      rundef.js

1.37 KB

      runme.bat

0.22 KB

      runme.log

26.38 KB

      runme.sh

1.24 KB

      usage_statistics_webtalk.html

197.42 KB

      usage_statistics_webtalk.xml

301.91 KB

      vivado.begin.rst

0.34 KB

      vivado.end.rst

0.00 KB

      vivado.jou

0.75 KB

      vivado.pb

0.15 KB

      vivado_10904.backup.jou

0.75 KB

      vivado_11256.backup.jou

0.75 KB

      vivado_20632.backup.jou

0.75 KB

      write_bitstream.begin.rst

0.17 KB

      write_bitstream.end.rst

0.00 KB

      write_bitstream.pb

6.16 KB

     jobs

      vrs_config_1.xml

0.22 KB

      vrs_config_10.xml

0.24 KB

      vrs_config_11.xml

0.25 KB

      vrs_config_2.xml

0.24 KB

      vrs_config_3.xml

0.22 KB

      vrs_config_4.xml

0.24 KB

      vrs_config_5.xml

0.25 KB

      vrs_config_6.xml

0.22 KB

      vrs_config_7.xml

0.24 KB

      vrs_config_8.xml

0.25 KB

      vrs_config_9.xml

0.22 KB

     synth_1

      ISEWrap.js

7.14 KB

      ISEWrap.sh

1.58 KB

      Vivado_Synthesis.queue.rst

0.00 KB

      Xil

       design_1_wrapper_propImpl.xdc

2.87 KB

      design_1_wrapper.dcp

580.87 KB

      design_1_wrapper.tcl

2.68 KB

      design_1_wrapper.vds

206.29 KB

      design_1_wrapper_utilization_synth.pb

0.24 KB

      design_1_wrapper_utilization_synth.rpt

7.59 KB

      dont_touch.xdc

2.93 KB

      gen_run.xml

2.78 KB

      htr.txt

0.38 KB

      project.wdf

3.83 KB

      rundef.js

1.29 KB

      runme.bat

0.22 KB

      runme.log

207.08 KB

      runme.sh

1.18 KB

      vivado.begin.rst

0.17 KB

      vivado.end.rst

0.00 KB

      vivado.jou

0.75 KB

      vivado.pb

302.43 KB

    Simulation_Example.sim

     sim_1

      behav

       Simulation_Example_sim.tcl

0.45 KB

       Simulation_Example_sim_behav.wdb

7.16 MB

       Simulation_Example_sim_vhdl.prj

4.35 KB

       compile.bat

0.34 KB

       compile.log

9.53 KB

       elaborate.bat

0.60 KB

       elaborate.log

4.72 KB

       simulate.bat

0.31 KB

       simulate.log

0.05 KB

       webtalk.jou

0.92 KB

       webtalk.log

0.99 KB

       webtalk_19988.backup.jou

0.92 KB

       webtalk_19988.backup.log

0.99 KB

       xelab.pb

8.19 KB

       xsim.dir

        Simulation_Example_sim_behav

         Compile_Options.txt

0.46 KB

         TempBreakPointFile.txt

0.03 KB

         webtalk

          usage_statistics_ext_xsim.html

3.17 KB

          usage_statistics_ext_xsim.xml

2.75 KB

          xsim_webtallk.info

0.06 KB

         xsim.dbg

17.57 KB

         xsim.mem

14.05 KB

         xsim.reloc

8.36 KB

         xsim.rtti

0.32 KB

         xsim.svtype

0.01 KB

         xsim.type

9.02 KB

         xsim.xdbg

7.92 KB

         xsimcrash.log

0.00 KB

         xsimk.exe

187.02 KB

         xsimkernel.log

0.35 KB

        c_addsub_v12_0_8

         c_addsub_v12_0_8.vdb

8.32 KB

         c_addsub_v12_0_8_base_legacy.vdb

70.59 KB

         c_addsub_v12_0_8_comp.vdb

5.45 KB

         c_addsub_v12_0_8_fabric_legacy.vdb

13.71 KB

         c_addsub_v12_0_8_legacy.vdb

58.96 KB

         c_addsub_v12_0_8_lut6_legacy.vdb

69.48 KB

         c_addsub_v12_0_8_pkg.vdb

48.95 KB

         c_addsub_v12_0_8_pkg_legacy.vdb

68.71 KB

         c_addsub_v12_0_8_viv.vdb

41.46 KB

         c_addsub_v12_0_8_viv_comp.vdb

5.48 KB

        c_counter_binary_v12_0_8

         c_counter_binary_v12_0_8.vdb

7.28 KB

         c_counter_binary_v12_0_8_comp.vdb

4.70 KB

         c_counter_binary_v12_0_8_legacy.vdb

56.20 KB

         c_counter_binary_v12_0_8_pkg.vdb

43.00 KB

         c_counter_binary_v12_0_8_viv.vdb

16.79 KB

         c_counter_binary_v12_0_8_viv_comp.vdb

4.71 KB

        c_gate_bit_v12_0_1

         c_gate_bit_tier.vdb

4.96 KB

         c_gate_bit_tile.vdb

15.70 KB

         c_gate_bit_v12_0_1.vdb

7.26 KB

         c_gate_bit_v12_0_1_comp.vdb

4.46 KB

         c_gate_bit_v12_0_1_viv.vdb

37.28 KB

         c_gate_bit_v12_0_1_viv_comp.vdb

4.50 KB

         pkg_gate_bit_v12_0.vdb

120.03 KB

        c_reg_fd_v12_0_1

         c_reg_fd_v12_0_1.vdb

5.42 KB

         c_reg_fd_v12_0_1_comp.vdb

3.67 KB

         c_reg_fd_v12_0_1_viv.vdb

17.48 KB

         c_reg_fd_v12_0_1_viv_comp.vdb

3.70 KB

        xbip_addsub_v3_0_1

         xbip_addsub_v3_0_1.vdb

5.21 KB

         xbip_addsub_v3_0_1_comp.vdb

3.46 KB

         xbip_addsub_v3_0_1_viv.vdb

11.68 KB

         xbip_addsub_v3_0_1_viv_comp.vdb

3.50 KB

        xbip_counter_v3_0_1

         dsp48_counter.vdb

15.21 KB

         fabric_counter.vdb

24.65 KB

         xbip_counter_v3_0_1.vdb

6.03 KB

         xbip_counter_v3_0_1_comp.vdb

3.89 KB

         xbip_counter_v3_0_1_hdl_comps.vdb

6.23 KB

         xbip_counter_v3_0_1_pkg.vdb

54.11 KB

         xbip_counter_v3_0_1_viv.vdb

32.17 KB

         xbip_counter_v3_0_1_viv_comp.vdb

3.92 KB

        xbip_dsp48_addsub_v3_0_1

         xbip_dsp48_addsub_rtl.vdb

24.54 KB

         xbip_dsp48_addsub_synth.vdb

12.87 KB

         xbip_dsp48_addsub_v3_0_1.vdb

7.43 KB

         xbip_dsp48_addsub_v3_0_1_comp.vdb

5.70 KB

         xbip_dsp48_addsub_v3_0_1_pkg.vdb

30.18 KB

         xbip_dsp48_addsub_v3_0_1_viv.vdb

10.45 KB

         xbip_dsp48_addsub_v3_0_1_viv_comp.vdb

5.73 KB

        xbip_dsp48_wrapper_v3_0_4

         xbip_dsp48_wrapper_v3_0_4.vdb

19.54 KB

         xbip_dsp48_wrapper_v3_0_4_pkg.vdb

34.26 KB

         xbip_dsp48a1_wrapper_v3_0.vdb

19.93 KB

         xbip_dsp48a_wrapper_v3_0.vdb

19.06 KB

         xbip_dsp48e1_wrapper_v3_0.vdb

27.86 KB

         xbip_dsp48e2_wrapper_v3_0.vdb

29.25 KB

         xbip_dsp48e_wrapper_v3_0.vdb

25.39 KB

        xbip_pipe_v3_0_1

         xbip_pipe_v3_0_1.vdb

4.92 KB

         xbip_pipe_v3_0_1_comp.vdb

3.17 KB

         xbip_pipe_v3_0_1_viv.vdb

14.93 KB

         xbip_pipe_v3_0_1_viv_comp.vdb

3.18 KB

        xbip_utils_v3_0_5

         xbip_utils_v3_0_5_pkg.vdb

214.53 KB

         xcc_utils_v3_0.vdb

2.26 KB

        xil_defaultlib

         basys_7_seg.vdb

5.32 KB

         design_1.vdb

16.75 KB

         design_1_basys_7_seg_0_0.vdb

4.99 KB

         design_1_c_counter_binary_0_0.vdb

7.35 KB

         design_1_hex_to_7_seg_0_0.vdb

2.31 KB

         design_1_hex_to_7_seg_1_0.vdb

2.31 KB

         design_1_hex_to_7_seg_2_0.vdb

2.31 KB

         design_1_hex_to_7_seg_3_0.vdb

2.31 KB

         design_1_wrapper.vdb

3.34 KB

         design_1_xlslice_0_0.vdb

2.72 KB

         design_1_xlslice_1_0.vdb

2.72 KB

         design_1_xlslice_2_0.vdb

2.72 KB

         design_1_xlslice_3_0.vdb

2.72 KB

         hex_to_7_seg.vdb

3.19 KB

         simulation_example_sim.vdb

3.76 KB

         xlslice.vdb

1.85 KB

       xvhdl.log

9.53 KB

       xvhdl.pb

14.15 KB

    Simulation_Example.srcs

     constrs_1

      imports

       XDC

        Basys3_Master.xdc

13.13 KB

     sim_1

      imports

       Lecture_Example

        Simulation_Example_sim.vhd

1.66 KB

     sources_1

      bd

       design_1

        design_1.bd

23.44 KB

        design_1.bxml

6.34 KB

        design_1_ooc.xdc

0.59 KB

        hdl

         design_1.hwdef

25.01 KB

         design_1.vhd

7.27 KB

         design_1_wrapper.vhd

1.45 KB

        hw_handoff

         design_1.hwh

236.38 KB

         design_1_bd.tcl

11.95 KB

        ip

         design_1_BASYS_7_seg_0_0

          design_1_BASYS_7_seg_0_0.veo

3.20 KB

          design_1_BASYS_7_seg_0_0.vho

3.52 KB

          design_1_BASYS_7_seg_0_0.xci

4.68 KB

          design_1_BASYS_7_seg_0_0.xml

20.29 KB

          sim

           design_1_BASYS_7_seg_0_0.vhd

3.94 KB

          synth

           design_1_BASYS_7_seg_0_0.vhd

4.60 KB

         design_1_Hex_to_7_Seg_0_0

          design_1_Hex_to_7_Seg_0_0.veo

2.93 KB

          design_1_Hex_to_7_Seg_0_0.vho

3.16 KB

          design_1_Hex_to_7_Seg_0_0.xci

3.22 KB

          design_1_Hex_to_7_Seg_0_0.xml

12.25 KB

          sim

           design_1_Hex_to_7_Seg_0_0.vhd

3.02 KB

          synth

           design_1_Hex_to_7_Seg_0_0.vhd

3.64 KB

         design_1_Hex_to_7_Seg_1_0

          design_1_Hex_to_7_Seg_1_0.veo

2.93 KB

          design_1_Hex_to_7_Seg_1_0.vho

3.16 KB

          design_1_Hex_to_7_Seg_1_0.xci

3.22 KB

          design_1_Hex_to_7_Seg_1_0.xml

12.25 KB

          sim

           design_1_Hex_to_7_Seg_1_0.vhd

3.02 KB

          synth

           design_1_Hex_to_7_Seg_1_0.vhd

3.64 KB

         design_1_Hex_to_7_Seg_2_0

          design_1_Hex_to_7_Seg_2_0.veo

2.93 KB

          design_1_Hex_to_7_Seg_2_0.vho

3.16 KB

          design_1_Hex_to_7_Seg_2_0.xci

3.22 KB

          design_1_Hex_to_7_Seg_2_0.xml

12.25 KB

          sim

           design_1_Hex_to_7_Seg_2_0.vhd

3.02 KB

          synth

           design_1_Hex_to_7_Seg_2_0.vhd

3.64 KB

         design_1_Hex_to_7_Seg_3_0

          design_1_Hex_to_7_Seg_3_0.veo

2.93 KB

          design_1_Hex_to_7_Seg_3_0.vho

3.16 KB

          design_1_Hex_to_7_Seg_3_0.xci

3.22 KB

          design_1_Hex_to_7_Seg_3_0.xml

12.25 KB

          sim

           design_1_Hex_to_7_Seg_3_0.vhd

3.02 KB

          synth

           design_1_Hex_to_7_Seg_3_0.vhd

3.64 KB

         design_1_c_counter_binary_0_0

          design_1_c_counter_binary_0_0.veo

2.95 KB

          design_1_c_counter_binary_0_0.vho

3.18 KB

          design_1_c_counter_binary_0_0.xci

10.66 KB

          design_1_c_counter_binary_0_0.xml

77.08 KB

          design_1_c_counter_binary_0_0_ooc.xdc

2.44 KB

          doc

           c_counter_binary_v12_0_changelog.txt

4.88 KB

          sim

           design_1_c_counter_binary_0_0.vhd

5.05 KB

          synth

           design_1_c_counter_binary_0_0.vhd

6.07 KB

         design_1_ila_0_0

          design_1_ila_0_0.vho

3.08 KB

          design_1_ila_0_0.xci

447.68 KB

          design_1_ila_0_0.xml

4.53 MB

          design_1_ila_0_0_ooc.xdc

2.44 KB

          doc

           ila_v6_0_changelog.txt

4.34 KB

          ila_v6_0

           constraints

            ila.xdc

13.78 KB

          sim

           design_1_ila_0_0.vhd

2.56 KB

          synth

           design_1_ila_0_0.vhd

409.27 KB

         design_1_xlslice_0_0

          design_1_xlslice_0_0.veo

2.89 KB

          design_1_xlslice_0_0.vho

3.12 KB

          design_1_xlslice_0_0.xci

4.68 KB

          design_1_xlslice_0_0.xml

15.20 KB

          sim

           design_1_xlslice_0_0.vhd

3.16 KB

          synth

           design_1_xlslice_0_0.vhd

3.78 KB

         design_1_xlslice_1_0

          design_1_xlslice_1_0.veo

2.89 KB

          design_1_xlslice_1_0.vho

3.12 KB

          design_1_xlslice_1_0.xci

4.68 KB

          design_1_xlslice_1_0.xml

15.19 KB

          sim

           design_1_xlslice_1_0.vhd

3.16 KB

          synth

           design_1_xlslice_1_0.vhd

3.77 KB

         design_1_xlslice_2_0

          design_1_xlslice_2_0.veo

2.89 KB

          design_1_xlslice_2_0.vho

3.12 KB

          design_1_xlslice_2_0.xci

4.68 KB

          design_1_xlslice_2_0.xml

15.19 KB

          sim

           design_1_xlslice_2_0.vhd

3.16 KB

          synth

           design_1_xlslice_2_0.vhd

3.77 KB

         design_1_xlslice_3_0

          design_1_xlslice_3_0.veo

2.89 KB

          design_1_xlslice_3_0.vho

3.12 KB

          design_1_xlslice_3_0.xci

4.68 KB

          design_1_xlslice_3_0.xml

15.19 KB

          sim

           design_1_xlslice_3_0.vhd

3.16 KB

          synth

           design_1_xlslice_3_0.vhd

3.77 KB

        ipshared

         xilinx.com

          basys_7_seg_v1_0

           BASYS_7_seg.vhd

3.48 KB

          blk_mem_gen_v8_3

           hdl

            blk_mem_gen_v8_3.vhd

20.79 KB

            blk_mem_gen_v8_3_vhsyn_rfs.vhd

14.13 MB

          c_addsub_v12_0

           hdl

            c_addsub_v12_0.vhd

12.29 KB

            c_addsub_v12_0_vh_rfs.vhd

383.70 KB

          c_counter_binary_v12_0

           hdl

            c_counter_binary_v12_0.vhd

10.60 KB

            c_counter_binary_v12_0_vh_rfs.vhd

125.74 KB

          c_gate_bit_v12_0

           hdl

            c_gate_bit_v12_0.vhd

10.72 KB

            c_gate_bit_v12_0_vh_rfs.vhd

155.70 KB

          c_reg_fd_v12_0

           hdl

            c_reg_fd_v12_0.vhd

8.91 KB

            c_reg_fd_v12_0_vh_rfs.vhd

31.94 KB

          fifo_generator_v13_0

           hdl

            fifo_generator_v13_0.vhd

88.89 KB

            fifo_generator_v13_0_vhsyn_rfs.vhd

2.14 MB

          hex_to_7_seg_v1_0

           Hex_to_7_Seg.vhd

1.10 KB

          ila_v6_0

           hdl

            ila_v6_0_syn_rfs.v

260.86 KB

            verilog

             ila_v6_0_1_ila_in_ports_inc.v

58.99 KB

             ila_v6_0_1_ila_lib_function.v

7.72 KB

             ila_v6_0_1_ila_localparam_inc.v

932.54 KB

             ila_v6_0_1_ila_param_inc.v

144.03 KB

             ila_v6_0_1_ila_ver_inc.v

10.78 KB

          ltlib_v1_0

           hdl

            ltlib_v1_0_vl_rfs.v

87.65 KB

            verilog

             ltlib_v1_0_0_lib_function.v

7.55 KB

             ltlib_v1_0_0_ver_inc.v

7.34 KB

          xbip_addsub_v3_0

           hdl

            xbip_addsub_v3_0.vhd

9.36 KB

            xbip_addsub_v3_0_vh_rfs.vhd

26.58 KB

          xbip_counter_v3_0

           hdl

            xbip_counter_v3_0.vhd

9.56 KB

            xbip_counter_v3_0_vh_rfs.vhd

127.52 KB

          xbip_dsp48_addsub_v3_0

           hdl

            xbip_dsp48_addsub_v3_0.vhd

10.56 KB

            xbip_dsp48_addsub_v3_0_vh_rfs.vhd

84.71 KB

          xbip_dsp48_wrapper_v3_0

           hdl

            xbip_dsp48_wrapper_v3_0_vh_rfs.vhd

139.27 KB

          xbip_pipe_v3_0

           hdl

            xbip_pipe_v3_0.vhd

8.13 KB

            xbip_pipe_v3_0_vh_rfs.vhd

24.07 KB

          xbip_utils_v3_0

           hdl

            xbip_utils_v3_0_vh_rfs.vhd

154.09 KB

          xlslice_v1_0

           xlslice.vhd

1.20 KB

          xsdbm_v1_1

           hdl

            verilog

             xsdbm_v1_1_1_icon2xsdb_inc.v

5.84 KB

             xsdbm_v1_1_1_icon_inc.v

4.95 KB

             xsdbm_v1_1_1_inc.v

7.40 KB

             xsdbm_v1_1_xsdbm.v

171.85 KB

            xsdbm_v1_1_vl_rfs.v

166.87 KB

          xsdbs_v1_0

           hdl

            verilog

             xsdbs_v1_0_2_icon2xsdb_inc.v

5.84 KB

             xsdbs_v1_0_2_inc.v

7.40 KB

            xsdbs_v1_0_vl_rfs.v

36.29 KB

        ui

         bd_1f5defd0.ui

1.86 KB

      imports

       Hex_to_7_Seg

        Hex_to_7_Seg.vhd

1.10 KB

        component.xml

6.47 KB

        xgui

         Hex_to_7_Seg_v1_0.tcl

0.20 KB

    Simulation_Example.tmp

     edit_ip_project.hw

      webtalk

       labtool_webtalk.log

0.40 KB

       usage_statistics_ext_labtool.html

6.57 KB

       usage_statistics_ext_labtool.xml

6.06 KB

       xsim_webtallk.info

0.06 KB

    Simulation_Example.xpr

8.81 KB

   external-assets-links.txt

0.45 KB

  09 - Working with Soft Core Processors

   001 Creating Your First Softcore Processor Project.mp4

15.90 MB

   001 Creating Your First Softcore Processor Project_en.srt

6.96 KB

   002 Add AXI Peripherals to Your MicroBlaze Processor.mp4

18.17 MB

   002 Add AXI Peripherals to Your MicroBlaze Processor_en.srt

6.78 KB

  10 - High Level Synthesis Tool

   001 High Level Synthesis Tool Introduction.mp4

29.60 MB

   001 High Level Synthesis Tool Introduction_en.srt

3.57 KB

  11 - Programming the FPGA

   001 Vivado Hardware Manager Introduction.html

1.29 KB

   002 Prior to Programming Checklist.html

1.56 KB

   003 Loading the Configuration File on the FPGA.mp4

7.63 MB

   003 Loading the Configuration File on the FPGA_en.srt

3.37 KB

  12 - Project Design Flow Example Using Vivado

   001 Project Design Flow Walkthrough.mp4

37.14 MB

   001 Project Design Flow Walkthrough_en.srt

4.80 KB

   002 Project-Requirements.pdf

338.71 KB

   002 Step 1 - Acquire Project Requirements.html

1.73 KB

   003 Step 2 - Select FPGA Based on Requirements.html

1.84 KB

   004 Step 3 - Create Project in Vivado.mp4

7.53 MB

   004 Step 3 - Create Project in Vivado_en.srt

2.54 KB

   005 Step 4 - Add Existing Custom IP.mp4

48.76 MB

   005 Step 4 - Add Existing Custom IP_en.srt

16.70 KB

   006 Step 5 - Add Create Design Constraints.mp4

19.80 MB

   006 Step 5 - Add Create Design Constraints_en.srt

6.46 KB

   007 Step 6 - Simulate and Verify Design.mp4

18.68 MB

   007 Step 6 - Simulate and Verify Design_en.srt

6.72 KB

   008 Step 7 - Generate the FPGA Configuration File.mp4

9.75 MB

   008 Step 7 - Generate the FPGA Configuration File_en.srt

3.52 KB

   009 Step 8 – Program your Board to Verify Functionality.mp4

9.22 MB

   009 Step 8 – Program your Board to Verify Functionality_en.srt

4.12 KB

   Final_Project

    Basys3_Master.xdc

13.14 KB

    Final_Project_Test_Bench.vhd

1.78 KB

    ip_repo

     BASYS_7_seg

      BASYS_7_seg.vhd

3.48 KB

      component.xml

14.15 KB

      doc

       BASYS_7_seg_documentation.pdf

560.18 KB

      src

       basys_image.PNG

9.92 KB

      xgui

       BASYS_7_seg_v1_0.tcl

1.59 KB

     Hex_to_7_Seg

      Hex_to_7_Seg.vhd

1.10 KB

      component.xml

6.47 KB

      xgui

       Hex_to_7_Seg_v1_0.tcl

0.20 KB

     PWM

      PWM.vhd

1.77 KB

      component.xml

9.99 KB

      xgui

       PWM_v1_0.tcl

2.07 KB

     binary_bcd

      binary_bcd.vhd

3.21 KB

      component.xml

12.13 KB

      xgui

       binary_bcd_v1_0.tcl

0.76 KB

  13 - Conclusion

   001 Conclusion.mp4

10.00 MB

   001 Conclusion_en.srt

1.17 KB

  Bonus Resources.txt

0.38 KB
 

tracker

leech seeds
 

Torrent description

Feel free to post any comments about this torrent, including links to Subtitle, samples, screenshots, or any other relevant information, Watch [ DevCourseWeb com ] Udemy - Learn Vivado from Top to Bottom - Your Complete Guide Online Free Full Movies Like 123Movies, Putlockers, Fmovies, Netflix or Download Direct via Magnet Link in Torrent Details.

related torrents

Torrent name

health leech seeds Size
 


comments (0)

Main Menu